-Cleaned-up name of ipkg functions in package definition files.
[hvlinux.git] / stage0 / pkg / binutils
index 209859b..922e22c 100644 (file)
@@ -1,6 +1,19 @@
 #!/bin/bash
 
-configure_pre()
+export AR=ar
+export AS=as
+
+CONFIGURE_OPTS="\
+    --host=${CLFS_HOST} \
+    --target=${CLFS_TARGET} \
+    --with-sysroot=${CLFS} \
+    --with-lib-path=/tools/lib \
+    --disable-nls \
+    --enable-shared \
+    --disable-multilib \
+    ${CONFIGURE_OPTS}"
+
+hvconfig_pre()
 {
     case "${HVL_TARGET}" in
         "x86_64")